Intel è la prima azienda del settore a implementare con la tecnologia PowerVia l’alimentazione dalla parte posteriore di un chip di prova con le stesse caratteristiche del prodotto finito, ottenendo un livello di prestazioni tale da rivoluzionare profondamente la costruzione dei chip. PowerVia, che sarà inserito sul nodo di processo Intel 20A nella prima metà del 2024, punta a risolvere il crescente problema dei colli di bottiglia all’interconnessione dell’area scaling, spostando il percorso dell’alimentazione alla parte posteriore del wafer.

“PowerVia è un’importante pietra miliare nella nostra ambiziosa strategia ‘cinque nodi in quattro anni’ e nel nostro percorso per ottenere mille miliardi di transistor (one trillion) in un pacchetto nel 2030. Utilizzando un nodo di processo sperimentale e un successivo chip di prova, siamo riusciti a eliminare i rischi connessi all’alimentazione dalla parte posteriore per i nostri nodi di processo, assicurando che Intel sia in vantaggio di un nodo rispetto alla concorrenza nel portare questa tecnologia sul mercato” ha dichiarato Ben Sell, Intel Vice President of Technology Development.

Intel ha disaccoppiato lo sviluppo di PowerVia da quello dei transistor per assicurare che fosse pronto per l’implementazione su silicio basata sui nodi di processo Intel 20A e Intel 18A. PowerVia è stato collaudato sul suo stesso nodo di test interno per eseguire il debug e garantire una buona funzionalità della tecnologia prima della sua integrazione in Intel 20A con RibbonFET. Dopo la fabbricazione e il test su un chip di prova in silicio, è stato confermato che PowerVia offre un utilizzo altamente efficiente delle risorse del chip (più del 90% delle celle) e un’importante scalabilità dei transistor, consentendo ai progettisti di ottenere maggiori prestazioni ed efficienza nei loro prodotti.

Intel PowerVia

Ma perché è così importante? PowerVia è molto più avanti rispetto alle soluzioni di alimentazione dalla parte posteriore della concorrenza, offrendo ai progettisti di chip, inclusi i clienti di Intel Foundry Services (IFS), un percorso più rapido verso importanti guadagni in termini di consumi e prestazioni nei loro prodotti. PowerVia, inoltre, risolve il problema dei colli di bottiglia nelle interconnessioni. Un numero sempre crescente di casi d’uso, tra cui l’intelligenza artificiale e la grafica, richiede transistor più piccoli, più densi e più potenti per soddisfare le esigenze di calcolo a essi associate.

Da decenni, ormai, le linee di alimentazione e di segnale all’interno dell’architettura di un transistor utilizzano le stesse risorse a scapito uno dell’altra. Separando i due, i chip possono aumentare prestazioni ed efficienza energetica e fornire risultati migliori. L’alimentazione dalla parte posteriore, a cui stanno lavorando anche TSMC e Samsung, è di vitale importanza per ridurre le dimensioni dei transistor, consentendo ai progettisti di aumentare la densità dei transistor senza sacrificare risorse per fornire più potenza e prestazioni.

Intel 20A e Intel 18A introdurranno sia la tecnologia di alimentazione dalla parte posteriore PowerVia, sia la tecnologia Gate-all-around RibbonFET. Trattandosi di un modo completamente nuovo di fornire energia ai transistor, l’implementazione dell’alimentazione dal retro ha sollevato nuove sfide per lo smaltimento del calore.

8faa75_PowerVia_Technical_Deck_04

Disaccoppiando lo sviluppo di PowerVia da RibbonFET, Intel è stata in grado affrontare queste sfide e i suoi ingegneri hanno sviluppato tecniche di mitigazione per evitare problemi di surriscaldamento. La comunità di debug ha inoltre sviluppato tecniche per garantire che la nuova struttura di progettazione potesse essere opportunamente sottoposta a debug. Di conseguenza, l’implementazione del test ha fornito validi parametri di rendimento e affidabilità, dimostrando al contempo il valore intrinseco della tecnologia ben prima che si unisca alla nuova architettura RibbonFET.

Il test ha inoltre fatto uso della progettazione consentita dalla litografia EUV (Extreme Ultraviolet), che ha permesso un utilizzo delle celle standard superiore al 90% su ampie aree del die, con una maggiore densità cellulare che si dovrebbe tradurre in una riduzione dei costi. Il test ha anche mostrato un miglioramento di oltre il 30% nella caduta di tensione della piattaforma e un vantaggio del 6% nella frequenza. Intel ha anche ottenuto caratteristiche termiche nel chip di test PowerVia in linea con le maggiori potenze previste dallo scaling logico.