Intel sta cambiando completamente il modo di definire le generazioni dei suoi microprocessori, aprendo la strada alla misurazione dei chip in angstrom e non più in nanometri. Nello specifico, Intel sta riscrivendo la terminologia associata alla sua tecnologia di processo. In futuro, la tecnologia Intel enhanced SuperFIN potenziata a 10 nm si chiamerà Intel 7 e sarà posizionata mentalmente sullo stesso livello della stessa tecnologia di processo a 7 nm utilizzata da AMD per i suoi chip Ryzen. Intel ha iniziato a segnalare questo cambiamento già a marzo, ma solo oggi è diventato ufficiale.

È un esercizio di rebranding, ma con ragioni tecniche da non sottovalutare. Per anni, un modo in cui giganti dei chip come Intel e AMD hanno definito l’evoluzione dei loro prodotti è stato attraverso i nodi di processo o le generazioni di processo: prima in termini di micron, poi nanometri, come il processo a 14 nm che Intel ha faticato a superare. Ma ciò che definisce un processo “7nm” è diventato sempre più astratto, al punto che alcuni big come appunto Intel potrebbero sostenere che il termine è diventato essenzialmente privo di significato. Intel distinguerà i nodi di processo in base a una nuova metrica: le prestazioni per watt.

L’annuncio di ieri include tre componenti importanti. Per prima cosa, Intel sta semplicemente abbandonando il modo tradizionale di definire nuovi nodi di processo, cambiando il modo in cui parleremo dei suoi prodotti. In secondo luogo, l’annuncio segna la fine dell’era dei “nanometri” e segna l’avvio della definizione di chip basati sugli angstrom. Infine, Intel ha affermato che riguadagnerà quella che chiama “leadership di produzione” entro il 2025.

Il nuovo linguaggio della produzione di chip Intel

Le tecnologie di produzione di Intel saranno ora note come “Intel 7”, “Intel 4”, “Intel 3” e “Intel 20A”. Saranno principalmente definite da quanto migliorano in termini di prestazioni per watt rispetto alla generazione precedente.

Tradizionalmente, ciò che chiamavamo “nodo di processo” o “tecnologia di processo” era solo la lunghezza del singolo gate del transistor, l’elemento fondamentale dei circuiti integrati. Con il miglioramento della produzione dei semiconduttori, le dimensioni dei singoli gate si sono ridotte. Ciò ha permesso la legge di Moore, ovvero l’assioma per cui il numero di transistor in un’area fissa su un chip raddoppia ogni 18-24 mesi.

Ma come fa notare ExtremeTech, l’ultima volta che la lunghezza del gate corrispondeva al nodo di processo era nel lontano 1997. Invece, nel tempo, i produttori di chip hanno iniziato essenzialmente a sostituire le lunghezze dei gate “reali” con “equivalenti”, man mano che modi per confrontare i processi di produzione sono diventati sempre più complessi, coinvolgendo le dimensioni delle celle SRAM, la larghezza delle alette, il passo minimo del metallo e altro ancora.

Ora, tuttavia, questo sarà il linguaggio utilizzato da Intel per parlare di nuovi nodi di processo:

intels-new-manufacturing-nodes-100896841-large

La tecnologia Intel “SuperFIN” a 10 nm verrà chiamata proprio così. Ma la tecnologia “Enhanced SuperFIN” utilizzata all’interno del prossimo chip Alder Lake sarà ora chiamata semplicemente “Intel 7” e definita come semplicemente dal 10% al 15% più efficiente in termini di prestazioni per watt. Il dott. Sanjay Natarajan, vicepresidente senior di Intel e co-direttore generale di Intel Logic Technology Development, ha affermato che il rapporto non è del tutto uniforme: a una potenza fissa, le prestazioni di Intel 7 aumenteranno dal 10 al 15%, come previsto. Ma a prestazioni fisse, Intel può ridurre ulteriormente la potenza.

Di seguito riportiamo ogni nuovo nodo di processo, insieme a un processore rappresentativo e ai tempi previsti.

  • Intel 10nm SuperFIN: in produzione. Esempio: “Tiger Lake” di Intel di undicesima generazione
  • Intel 7 (Intel 10nm Enhanced SuperFin): in produzione, con il 10-15% in più di prestazioni/watt rispetto alla generazione precedente. Esempio: “Alder Lake”
  • Intel 4 (Intel 7nm): secondo trimestre 2021, con il 20% in più di prestazioni/watt rispetto alla generazione precedente. Esempio: “Meteor Lake”, “Grand Rapids” (Xeon)
  • Intel 3: seconda metà del 2023, con il 18% in più di prestazioni/watt rispetto alla generazione precedente. Esempio: non ancora annunciato
  • Intel 20A: 1H 2024. Non ci sono ulteriori dettagli in questo momento
  • Intel 18A: 2025. Non ci sono ulteriori dettagli in questo momento

Secondo la dott.ssa Ann Kelleher, vicepresidente senior e condirettore generale di Intel Logic Technology Development, i cambiamenti di Intel sono stati fatti in risposta al “feedback che abbiamo ricevuto nel corso degli anni” e questo nuovo framework è stato impostato “in modo da essere chiaro, coerente e significativo”.

Ricordiamo che a marzo, il nuovo amministratore delegato di Intel, Pat Gelsinger, aveva annunciato IDM 2.0, una strategia per migliorare la competitività di Intel investendo in nuovi fabbricati, tecnologie di produzione migliorate e un’attività di fonderia completamente nuova per produrre chip per altre aziende, inclusa l’integrazione di Intel CPU.

Dopo l’evento Intel Accelerated abbiamo anche i nomi di due clienti della fonderia di Intel: Amazon AWS e Qualcomm. Quest’ultimo è un po’ una sorpresa, dal momento che Qualcomm e Intel sono stati rivali nello spazio 5G.

Verso gli angstrom

Gli angstrom sono semplicemente la prossima unità di misura nei semiconduttori: un angstrom corrisponde a 0,1 nm. Sebbene Intel non stia misurando nulla in angstrom, sta usando il termine “angstrom” per evidenziare la sua prossima generazione di produzione.

Mentre continua a fare passi avanti nella sua roadmap, Intel prevede di aumentare l’utilizzo della litografia EUV (ultravioletto estremo), una tecnica di produzione che possiamo considerare come un’evoluzione della litografia più convenzionale. Il problema è che i dettagli dei semiconduttori sono diventati troppo piccoli rispetto alle lunghezze d’onda della luce laser che li ritagliano. I produttori di chip hanno trovato il modo di “imbrogliare” usando tecniche chiamate patterning, ma il processo è diventato semplicemente troppo complesso da portare avanti.

Anche EUV, tuttavia, presenta delle sfide da superare. Per prima cosa il processo richiederà probabilmente più potenza rispetto alla litografia tradizionale. Ma EUV richiede anche un vuoto, perché la radiazione EUV è assorbita da materia solida di tutti i tipi. Anche i cosiddetti effetti stocastici casuali, che possono causare errori di fabbricazione, hanno rappresentato una sfida con la produzione EUV. Intel è stata in grado di aggirare questo problema con innovazioni come i suoi chip Core serie “F”, in cui gli errori che possono rovinare le sue GPU integrate vengono venduti con quelle GPU disattivate.

L’EUV dovrà passare alla generazione angstrom, ma ci saranno alcuni ostacoli da superare per quanto concerne i costi di produzione e i prezzi dei chip nei prossimi anni. Bilanciate tutto ciò con l’attuale carenza di chip in corso e capirete perché ci siano già diverse preoccupazioni per l’immediato futuro, specialmente con Intel che già avverte della carenza di chip.

Un nuovo transistor Intel: RibbonFET

intel-powervia-ribbonfet-100896905-large

Intel ha affermato che questa nuova generazione sarà accompagnata da innovazioni nella produzione e nel confezionamento dei transistor, inclusa la sua prima riprogettazione di transistor da quando annunciò la  tecnologia FinFET nel 2011.

Su questo versante Intel sta facendo altri due cambiamenti: spostare i power vias, o trasporti, dall’alto verso il basso del chip e passare a un design “gate all around” (GAA), o RibbonFET. La tecnologia PowerVia, come è noto, migliorerà l’efficienza energetica. “Gate-all-around” essenzialmente crea nanofili attraverso il chip. Sia la tecnologia PowerVia, sia RibbonFET faranno parte dei chip Intel 20A attesi nel 2024.

Ciò che fa GAA è estendere ulteriormente il design del chip da due a tre dimensioni. Questa è stata la direzione anche nel packaging. Intel ha annunciato l’Embedded Multi-die Interconnect Bridge, o EMIB, nel 2017. Ciò ha permesso di costruire CPU Intel da diversi die del processore all’interno dello stesso chip. La tecnologia Foveros ha consentito di impilare verticalmente questi diversi stampi. Ciò si è evoluto nel lento e prototipico chip Lakefield, parte del Samsung Galaxy Book S. Ma si prevede che Intel utilizzerà le due tecnologie anche all’interno dei prossimi chip Alder Lake e Meteor Lake.

Anche ciò che Intel chiama Foveros Omni porterà avanti ulteriormente questo approccio nei chip Intel 20A. Foveros Omni prenderà infatti quella che viene chiamata la parte di “disaggregazione del die” di Foveros e la estenderà verticalmente, fornendo a Intel più strumenti per combinare e abbinare core ad alte prestazioni e core a basso consumo all’interno dello stesso chip. Una seconda tecnica, chiamata Foveros Direct, aggiungerà il collegamento diretto rame-rame per una resistenza elettrica ancora più bassa e quindi per maggiori prestazioni.